Welcome![Sign In][Sign Up]
Location:
Search - verilog PWM

Search list

[Embeded-SCM Developpwm发生器

Description: pwm发生器(原理图,pcb,程序流程图,源码80kc196)--PWM generator, including diagram, pcb, data flow diagram , and source code 80kc196).
Platform: | Size: 65536 | Author: 张晓亮 | Hits:

[VHDL-FPGA-Verilogverilog hdl教程135例

Description: 浅显易懂的vrilogHDL的程序,可以帮助你迅速上手-Easy and simple VerilogHDL programs to help you to get to the language quickly.
Platform: | Size: 158720 | Author: 陈浩东 | Hits:

[VHDL-FPGA-Verilogpwmled

Description: 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak
Platform: | Size: 510976 | Author: 张伟 | Hits:

[MiddleWarepwm_VerilogHDLV1.1

Description: 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
Platform: | Size: 232448 | Author: wjz | Hits:

[VHDL-FPGA-VerilogPWM

Description: 自己写的一个pwm模块,verilog的,是用于无刷电机控制的。-Himself wrote a pwm module, verilog is used for brushless motor control.
Platform: | Size: 3072 | Author: 李凯 | Hits:

[VHDL-FPGA-VerilogPWM

Description: Core_PWM,verilog语言编写,可用于电机驱动-Core_PWM, verilog language, can be used for motor drive
Platform: | Size: 5007360 | Author: zhan | Hits:

[VHDL-FPGA-VerilogPWM

Description: Core_PWM,verilog语言编写,可用于电机驱动-Core_PWM, verilog language, can be used for motor drive
Platform: | Size: 2048 | Author: zhan | Hits:

[VHDL-FPGA-Verilogcpld-pwm

Description: 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用-CPLD-based control design uses the pwm design vhdl.verilog language more useful for everyone
Platform: | Size: 79872 | Author: emily | Hits:

[VHDL-FPGA-VerilogPWM

Description: 使用VERILOG 语言产生PWM波。只需要使用处理器或内核直接配置相应的寄存器就可以输出PWM波。-VERILOG language use PWM wave generated. Only need to use the processor or core directly corresponding configuration register can output PWM wave.
Platform: | Size: 2048 | Author: 望习才 | Hits:

[VHDL-FPGA-Verilogservo_module_worked

Description: verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankie | Hits:

[VHDL-FPGA-VerilogPWM

Description: verilog pwm to control servo motor on quartus, with microprocessor generated from sopc and connected with sram-verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankiecoco | Hits:

[VHDL-FPGA-Verilogpwm

Description: verilog实现PWM 开发环境 QUARTUS II7.0-verilog to achieve PWM development environment QUARTUS II7.0
Platform: | Size: 54272 | Author: exun | Hits:

[SCMpwm

Description: pwm的占空比和死区时间可调的Verilog HDL程序设计和测试-duty cycle of pwm and adjustable dead time of the Verilog HDL design and testing procedures
Platform: | Size: 1024 | Author: chenhaoran | Hits:

[VHDL-FPGA-VerilogPWM-OUT

Description: 这里是一个比较好的用Verilog写的通过按键控制PWM输出从而控制小灯亮灭程度的经典例子~!~-Here is a better written in Verilog by using buttons to control the PWM output level of the control of small lights eliminate the classic example of ~! ~
Platform: | Size: 311296 | Author: ll | Hits:

[VHDL-FPGA-Verilogpwm

Description: 利用Verilog语言产生17路PWM波,控制17路舵机,可以作为IP核添加到AVALON总线上,在nios IDE里用C语言控制。-Using Verilog language production of 17 Road PWM signal to control 17 Servos, can be used as IP core to the AVALON bus, in the nios IDE in control with the C language.
Platform: | Size: 3072 | Author: 尹长生 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 一个用Verilog实现PWM硬件的开发实例 -PWM hardware using Verilog implementation of a development instance
Platform: | Size: 23552 | Author: lsh | Hits:

[VHDL-FPGA-VerilogPWM

Description: verilog描述 PWM IP核 内部包括载波 占空比 和时能寄存器-IP kernel of PWM based on Verilog hdl
Platform: | Size: 4096 | Author: 胡静 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 利用Verilog语言设计一个PWM控制器,实现:控制器输入时钟1MHz;控制器输出脉冲周期1kHz,脉宽最小调节步长0.1%。(The Verilog language is used to design a PWM controller, which is realized: the controller input clock 1MHz; the controller output pulse cycle 1kHz, and the pulse width minimum adjustment step 0.1%.)
Platform: | Size: 62464 | Author: jcg17 | Hits:

[SCMpwm控制直流电机_verilog_l9110

Description: VERILOG语言 控制的直流电机 在各大数字逻辑软件如VIVADO ise 均可使用 功能强大 简单易学(motor controlled by VERILOG HDL)
Platform: | Size: 10240 | Author: 铭润 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 本程序可以实现输出不同占空比(0-100)和不同频率的pwm波形;满足驱动不同硬件的需求;(This program can output PWM waveforms with different duty cycles (0-100) and different frequencies, and meet the needs of different hardware drivers.)
Platform: | Size: 7469056 | Author: DA北岛 | Hits:
« 12 3 4 5 6 7 »

CodeBus www.codebus.net